如何用ADIsimADC完成ADC建模



作者:Brad Brannon和Tom MacLeod

转换器建模

转换器建模经常被忽视、忽略或者采用理想的数据转换器模型来完成。随着越来越多的系统使用混合信号技术,系统建模的重要性不断提高。日益缩短的设计周期和“一次成功”的压力,进一步凸显了完整系统建模的重要性。

ADIsimADC™正是为回应这一不断增长的需求而开发。理想转换器模型常常用于功能建模,但它们无法提供所需的详细性能信息,从而无法确定特定器件是否符合系统目标。解决这一问题是ADIsimADC开发的初衷。ADIsimADC使用户第一次拥有了在系统中验证特定转换器的性能,从而利用其条件确定所选器件是否适用的手段。虽然ADIsimADC并不模拟ADC的每一个特性,但它对于实现让用户能够在其系统仿真中模拟真实转换器这一目标意义深远。

位精确模型与行为模型

位精确模型是这样一种模型,如果提供已知的激励,它将产生已知的、可预测的输出。ADIsimADC不是位精确模型。此类模型常见于数字系统。处理模拟功能时,对于给定的输入,由于噪声、失真和其它非线性因素的影响,从来不存在一个已知的响应。虽然响应的一部分可能是可以预测的,但大部分要受到失真、噪声甚至器件间差异的影响。此外,位精确模型要求提供用来处理瞬态响应的电路仿真文件,如SPICE模型等。然而,这些模型庞大而复杂,处理速度非常慢,最终只能提供有限的精度。缩小或等效的SPICE模型可降低复杂性,但无法充分模拟静态和动态性能的精致细节。

行为模型不仅消除了复杂性问题,而且能够模拟电路文件所无法获得的精致性能细节。ADIsimADC与VisualAnalog™一起构成独立的转换器仿真工具。ADIsimADC™也可以配 合许多其它第三方仿真工具使用,包括Agilent Technologies的ADS、Applied Wave Research, Inc.的VSS、National Instrument的工具以及MATLAB®和C++。有关这些工具的使用信息,请访问: www.analog.com/ADIsimADC

详文请阅:如何用ADIsimADC完成ADC建模

点击这里,获取更多电机控制设计信息

最新文章